HEX
Server: Apache/2.4.18 (Ubuntu)
System: Linux phubuntu06.apexhosting.com 4.4.0-210-generic #242-Ubuntu SMP Fri Apr 16 09:57:56 UTC 2021 x86_64
User: master06 (1000)
PHP: 7.0.33-0ubuntu0.16.04.16
Disabled: pcntl_alarm,pcntl_fork,pcntl_waitpid,pcntl_wait,pcntl_wifexited,pcntl_wifstopped,pcntl_wifsignaled,pcntl_wifcontinued,pcntl_wexitstatus,pcntl_wtermsig,pcntl_wstopsig,pcntl_signal,pcntl_signal_dispatch,pcntl_get_last_error,pcntl_strerror,pcntl_sigprocmask,pcntl_sigwaitinfo,pcntl_sigtimedwait,pcntl_exec,pcntl_getpriority,pcntl_setpriority,
Upload Files
File: //usr/share/webmin/authentic-theme/unauthenticated/js/codemirror/mode/verilog/verilog.js
!function(e){"object"==typeof exports&&"object"==typeof module?e(require("../../lib/codemirror")):"function"==typeof define&&define.amd?define(["../../lib/codemirror"],e):e(CodeMirror)}(function(e){"use strict";function t(e,t,n){var i=t/a;return"tlv-"+e.tlvIndentationStyle[i]+"-"+n}function n(e){var t;return(t=e.match(o,!1))&&t[2].length>0}e.defineMode("verilog",function(t,n){function i(e){for(var t={},n=e.split(" "),i=0;i<n.length;++i)t[n[i]]=!0;return t}function r(e,t){var n,i=e.peek();if(y[i]&&0!=(n=y[i](e,t)))return n;if(y.tokenBase&&0!=(n=y.tokenBase(e,t)))return n;if(/[,;:\.]/.test(i))return u=e.next(),null;if(w.test(i))return u=e.next(),"bracket";if("`"==i)return e.next(),e.eatWhile(/[\w\$_]/)?"def":null;if("$"==i)return e.next(),e.eatWhile(/[\w\$_]/)?"meta":null;if("#"==i)return e.next(),e.eatWhile(/[\d_.]/),"def";if('"'==i)return e.next(),t.tokenize=a(i),t.tokenize(e,t);if("/"==i){if(e.next(),e.eat("*"))return t.tokenize=o,o(e,t);if(e.eat("/"))return e.skipToEnd(),"comment";e.backUp(1)}if(e.match(S)||e.match(_)||e.match(I)||e.match(z)||e.match(C)||e.match(x)||e.match(S))return"number";if(e.eatWhile(b))return"meta";if(e.eatWhile(/[\w\$_]/)){var r=e.current();return k[r]?(M[r]&&(u="newblock"),A[r]&&(u="newstatement"),f=r,"keyword"):"variable"}return e.next(),null}function a(e){return function(t,n){for(var i,a=!1,o=!1;null!=(i=t.next());){if(i==e&&!a){o=!0;break}a=!a&&"\\"==i}return(o||!a&&!h)&&(n.tokenize=r),"string"}}function o(e,t){for(var n,i=!1;n=e.next();){if("/"==n&&i){t.tokenize=r;break}i="*"==n}return"comment"}function l(e,t,n,i,r){this.indented=e,this.column=t,this.type=n,this.align=i,this.prev=r}function s(e,t,n){var i=e.indented,r=new l(i,t,n,null,e.context);return e.context=r}function c(e){var t=e.context.type;return")"!=t&&"]"!=t&&"}"!=t||(e.indented=e.context.indented),e.context=e.context.prev}function d(e,t){if(e==t)return!0;var n=t.split(";");for(var i in n)if(e==n[i])return!0;return!1}var u,f,m=t.indentUnit,p=n.statementIndentUnit||m,v=n.dontAlignCalls,g=n.noIndentKeywords||[],h=n.multiLineStrings,y=n.hooks||{},k=i("accept_on alias always always_comb always_ff always_latch and assert assign assume automatic before begin bind bins binsof bit break buf bufif0 bufif1 byte case casex casez cell chandle checker class clocking cmos config const constraint context continue cover covergroup coverpoint cross deassign default defparam design disable dist do edge else end endcase endchecker endclass endclocking endconfig endfunction endgenerate endgroup endinterface endmodule endpackage endprimitive endprogram endproperty endspecify endsequence endtable endtask enum event eventually expect export extends extern final first_match for force foreach forever fork forkjoin function generate genvar global highz0 highz1 if iff ifnone ignore_bins illegal_bins implements implies import incdir include initial inout input inside instance int integer interconnect interface intersect join join_any join_none large let liblist library local localparam logic longint macromodule matches medium modport module nand negedge nettype new nexttime nmos nor noshowcancelled not notif0 notif1 null or output package packed parameter pmos posedge primitive priority program property protected pull0 pull1 pulldown pullup pulsestyle_ondetect pulsestyle_onevent pure rand randc randcase randsequence rcmos real realtime ref reg reject_on release repeat restrict return rnmos rpmos rtran rtranif0 rtranif1 s_always s_eventually s_nexttime s_until s_until_with scalared sequence shortint shortreal showcancelled signed small soft solve specify specparam static string strong strong0 strong1 struct super supply0 supply1 sync_accept_on sync_reject_on table tagged task this throughout time timeprecision timeunit tran tranif0 tranif1 tri tri0 tri1 triand trior trireg type typedef union unique unique0 unsigned until until_with untyped use uwire var vectored virtual void wait wait_order wand weak weak0 weak1 while wildcard wire with within wor xnor xor"),b=/[\+\-\*\/!~&|^%=?:]/,w=/[\[\]{}()]/,x=/\d[0-9_]*/,_=/\d*\s*'s?d\s*\d[0-9_]*/i,I=/\d*\s*'s?b\s*[xz01][xz01_]*/i,z=/\d*\s*'s?o\s*[xz0-7][xz0-7_]*/i,C=/\d*\s*'s?h\s*[0-9a-fxz?][0-9a-fxz?_]*/i,S=/(\d[\d_]*(\.\d[\d_]*)?E-?[\d_]+)|(\d[\d_]*\.\d[\d_]*)/i,j=/[)}\]]/,E=i("case checker class clocking config function generate interface module package primitive program property specify sequence table task"),M={};for(var $ in E)M[$]="end"+$;M.begin="end",M.casex="endcase",M.casez="endcase",M.do="while",M.fork="join;join_any;join_none",M.covergroup="endgroup";for(var q in g){var $=g[q];M[$]&&(M[$]=void 0)}var A=i("always always_comb always_ff always_latch assert assign assume else export for foreach forever if import initial repeat while");return{electricInput:function(){var e=[];for(var t in M)if(M[t]){var n=M[t].split(";");for(var i in n)e.push(n[i])}return new RegExp("[{}()\\[\\]]|("+e.join("|")+")$")}(),startState:function(e){var t={tokenize:null,context:new l((e||0)-m,0,"top",!1),indented:0,startOfLine:!0};return y.startState&&y.startState(t),t},token:function(e,t){var n=t.context;if(e.sol()&&(null==n.align&&(n.align=!1),t.indented=e.indentation(),t.startOfLine=!0),y.token){var i=y.token(e,t);if(void 0!==i)return i}if(e.eatSpace())return null;u=null,f=null;var i=(t.tokenize||r)(e,t);if("comment"==i||"meta"==i||"variable"==i)return i;if(null==n.align&&(n.align=!0),u==n.type)c(t);else if(";"==u&&"statement"==n.type||n.type&&d(f,n.type))for(n=c(t);n&&"statement"==n.type;)n=c(t);else if("{"==u)s(t,e.column(),"}");else if("["==u)s(t,e.column(),"]");else if("("==u)s(t,e.column(),")");else if(n&&"endcase"==n.type&&":"==u)s(t,e.column(),"statement");else if("newstatement"==u)s(t,e.column(),"statement");else if("newblock"==u)if("function"!=f||!n||"statement"!=n.type&&"endgroup"!=n.type)if("task"==f&&n&&"statement"==n.type);else{var a=M[f];s(t,e.column(),a)}else;return t.startOfLine=!1,i},indent:function(t,n){if(t.tokenize!=r&&null!=t.tokenize)return e.Pass;if(y.indent){var i=y.indent(t);if(i>=0)return i}var a=t.context,o=n&&n.charAt(0);"statement"==a.type&&"}"==o&&(a=a.prev);var l=!1,s=n.match(/^((\w+)|[)}\]])/);return s&&(l=d(s[0],a.type)),"statement"==a.type?a.indented+("{"==o?0:p):j.test(a.type)&&a.align&&!v?a.column+(l?0:1):")"!=a.type||l?a.indented+(l?0:m):a.indented+p},blockCommentStart:"/*",blockCommentEnd:"*/",lineComment:"//"}}),e.defineMIME("text/x-verilog",{name:"verilog"}),e.defineMIME("text/x-systemverilog",{name:"verilog"});var i={"|":"link",">":"property",$:"variable",$$:"variable","?$":"qualifier","?*":"qualifier","-":"hr","/":"property","/-":"property","@":"variable-3","@-":"variable-3","@++":"variable-3","@+=":"variable-3","@+=-":"variable-3","@--":"variable-3","@-=":"variable-3","%+":"tag","%-":"tag","%":"tag",">>":"tag","<<":"tag","<>":"tag","#":"tag","^":"attribute","^^":"attribute","^!":"attribute","*":"variable-2","**":"variable-2","\\":"keyword",'"':"comment"},r={"/":"beh-hier",">":"beh-hier","-":"phys-hier","|":"pipe","?":"when","@":"stage","\\":"keyword"},a=3,o=/^([~!@#\$%\^&\*-\+=\?\/\\\|'"<>]+)([\d\w_]*)/;e.defineMIME("text/x-tlv",{name:"verilog",hooks:{electricInput:!1,token:function(e,l){var s,c=void 0;if(e.sol()&&!l.tlvInBlockComment){"\\"==e.peek()&&(c="def",e.skipToEnd(),e.string.match(/\\SV/)?l.tlvCodeActive=!1:e.string.match(/\\TLV/)&&(l.tlvCodeActive=!0)),l.tlvCodeActive&&0==e.pos&&0==l.indented&&(s=e.match(/^[! ] */,!1))&&(l.indented=s[0].length);var d=l.indented,u=d/a;if(u<=l.tlvIndentationStyle.length){var f=e.string.length==d,m=u*a;if(m<e.string.length){var p=e.string.slice(m),v=p[0];r[v]&&(s=p.match(o))&&i[s[1]]&&(d+=a,"\\"==v&&m>0||(l.tlvIndentationStyle[u]=r[v],u++))}if(!f)for(;l.tlvIndentationStyle.length>u;)l.tlvIndentationStyle.pop()}l.tlvNextIndent=d}if(l.tlvCodeActive){var s,g=!1;if(void 0!==c)c+=" "+t(l,0,"scope-ident");else if(e.pos/a<l.tlvIndentationStyle.length&&(s=e.match(e.sol()?/^[! ]  /:/^   /)))c="tlv-indent-"+(e.pos%2==0?"even":"odd")+" "+t(l,e.pos-a,"indent"),"!"==s[0].charAt(0)&&(c+=" tlv-alert-line-prefix"),n(e)&&(c+=" "+t(l,e.pos,"before-scope-ident"));else if(l.tlvInBlockComment)e.match(/^.*?\*\//)?l.tlvInBlockComment=!1:e.skipToEnd(),c="comment";else if((s=e.match(/^\/[\/\*]/))&&!l.tlvInBlockComment)"//"==s[0]?e.skipToEnd():l.tlvInBlockComment=!0,c="comment";else if(s=e.match(o)){var h=s[1],y=s[2];i.hasOwnProperty(h)&&(y.length>0||e.eol())?(c=i[h],e.column()==l.indented&&(c+=" "+t(l,e.column(),"scope-ident"))):(e.backUp(e.current().length-1),c="tlv-default")}else e.match(/^\t+/)?c="tlv-tab":e.match(/^[\[\]{}\(\);\:]+/)?c="meta":(s=e.match(/^[mM]4([\+_])?[\w\d_]*/))?c="+"==s[1]?"tlv-m4-plus":"tlv-m4":e.match(/^ +/)?c=e.eol()?"error":"tlv-default":e.match(/^[\w\d_]+/)?c="number":(e.next(),c="tlv-default");g&&(c+=" tlv-statement")}else e.match(/^[mM]4([\w\d_]*)/)&&(c="tlv-m4");return c},indent:function(e){return 1==e.tlvCodeActive?e.tlvNextIndent:-1},startState:function(e){e.tlvIndentationStyle=[],e.tlvCodeActive=!0,e.tlvNextIndent=-1,e.tlvInBlockComment=!1}}})});